- “Coherence Attacks and Countermeasures in Interposer-based Chiplet Systems”, Gino A. Chacon, Charles Williams, Johann Knechtel, Ozgur Sinanoglu, Paul V. Gratz, and Vassos Soteriou. in ACM Trans. Archit. Code Optim. 21, 2, Article 23 (June 2024), 25 pages. https://doi.org/10.1145/3633461
- “Aiding Microprocessor Performance Validation with Machine Learning”, E. C. Barboza, M. Ketkar, P. V. Gratz, J. Hu., 2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), May 2024 Nominated for Best Paper (5/70, top 5.7%)
- “KVRangeDB: Range Queries for a Hash-based Key–Value Device”, Mian Qin, Qing Zheng, Jason Lee, Bradley Settlemyer, Fei Wen, Narasimha Reddy, and Paul Gratz. ACM Trans. Storage 19, 3, Article 24 (August 2023), 21 pages. https://doi.org/10.1145/3582013
- “Last-Level Cache Insertion and Promotion Policy in the Presence of Aggressive Prefetching”, D. A. Jiménez, E. Teran and P. V. Gratz, in IEEE Computer Architecture Letters, 2023, doi: 10.1109/LCA.2023.3242178.
- “A Characterization of the Effects of Software Instruction Prefetching on an Aggressive Front-end”, G. Chacon, N. Gober, K. Nathella, P. Gratz, D. Jiménez, 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr. 2023
- “Hardware Trojan Threats to Cache Coherence in Modern 2.5D Chiplet Systems,” G. A. Chacon, C. Williams, J. Knechtel, O. Sinanoglu and P. V. Gratz, IEEE Computer Architecture Letters, 2022, doi: 10.1109/LCA.2022.3216820.
- “SLAP-CC: Set-Level Adaptive Prefetching for Compressed Caches”, L. Albarakat , P. Gratz, D. Jiménez, The 40th IEEE International Conference on Computer Design (ICCD), Oct. 2022 Acceptance rate: 30% (to appear)
- “Composite Instruction Prefetching: Combining Complementary Instruction Prefetchers”, E. Garza, G. Chacon, A. Jimborean, A. Ros, P. Gratz, D. Jiménez, S. Mirbagher-Ajorpaz, The 40th IEEE International Conference on Computer Design (ICCD), Oct. 2022 Acceptance rate: 30% (to appear)
- “Page Size Aware Cache Prefetching”, G. Vavouliotis, G. Chacon, L. Alvarez, P. Gratz, D. Jiménez, M. Casas, IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2022 Acceptance rate: 22% (to appear)
- Stay in your Lane: A NoC with Low-overhead Multi-packet Bypassing”,H. Farrokhbakht, P. V. Gratz, T. Krishna, J. Miguel, N. Jerger, The 28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Feb. 2022 Acceptance rate: 29%
- “SEEC: Stochastic Escape Express Channel”, M. Parasar, N. Jerger, P. V. Gratz, J. Miguel, T. Krishna, The International Conference for High Performance Computing, Networking, Storage and Analysis (SC21), Nov. 2021 Nominated for Best Paper
- “An FPGA-based Hybrid Memory Emulation System”, F. Wen, M. Qin, P. V. Gratz and N. Reddy, The 2021 International Conference on Field-Programmable Logic and Applications (FPL), Aug. 2021
- “KVRAID: High Performance, Write Efficient, Update Friendly Erasure Coding Scheme for KV-SSDs”, M. Qin, N. Reddy, P. V. Gratz, R. Pitchumani, Y. S. Ki, The 14th ACM International Systems and Storage Conference (SYSTOR ’21), June 2021 Acceptance rate: 30%
- “OpenMem: Hardware/Software Cooperative Management for Mobile Memory System,” F. Wen, M. Qin, P. V. Gratz, N. Reddy. The 58th Design Automation Conference (DAC’21), June 2021.
- “Pitstop: Enabling a Virtual Network Free Network-on-Chip”, H. Farrokhbakht, H. Kao, K. Hasan, P. V. Gratz, T. Krishna, J. Miguel, N. Jerger, The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2021
- “Automatic Microprocessor Performance Bug Detection”, E. C. Barboza, S. Jacob, M. Ketkar, M. Kishinevsky, P. V. Gratz, J. Hu, The 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2021.
- “CMRC: Comprehensive Microarchitectural Register Coalescing for GPGPUs”, A. Radaideh, P. V. Gratz, The 24th Annual Design Automation and Test in Europe Conference (DATE), Feb. 2021.
- “Hardware Memory Management for Future Mobile Hybrid Memory Systems,” F. Wen, M. Qin, P. V. Gratz, N. Reddy. International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES’20), Sept. 2020.
- “Exploiting Zero Data to Reduce Register File and Execution Unit Dynamic Power Consumption in GPGPUs,” A. Radaideh, P. V. Gratz, The 57th Annual Design Automation Conference (DAC), July 2020.
- “SB-Fetch: Synchronization Aware Hardware Prefetching for Chip Multiprocessors”, L. Albarakat, P. V. Gratz, D. Jimenez, The 34th ACM International Conference on Supercomputing (ICS-2020), June 2020.
- “Virtualize and share non-volatile memories in user space,” C. C. Chou, J. Jung, A. L. N. Reddy, P. V. Gratz, D. Voigt, CCF Transactions on High Performance Computing, DOI 10.1007/s42514-020-00019-8, Feb. 2020.
- “DRAIN: Deadlock Removal for Arbitrary Irregular Networks”, M. Parasar, H. Farrokhbakht, N. E. Jerger, P. V. Gratz, T. Krishna, and J. San Miguel, In Proc of the 26th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2020.
- “A Generic FPGA Accelerator for Minimum Storage Regenerating Codes”, M. Qin, J. H. Lee, R. Pitchumani, Y. S. Ki, N. Reddy, P. V. Gratz, In The 25th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan. 2020.
- “SpecLock: Speculative Lock Forwarding”, P. M. Yaghini, G. Michelogiannakis, P. V. Gratz, In The 37th IEEE International Conference on Computer Design (ICCD), Nov. 2019.
- “SWAP: Synchronized Weaving of Adjacent Packets for Network Deadlock Prevention”, M. Parasar, N. Enright-Jerger, P. V. Gratz, J. San Miguel, and T. Krishna, In The 52nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2019.
- “Perceptron-Based Prefetch Filtering,” E. Bhatia, G. Chacon, S. Pugsley, E. Teran, P. V. Gratz and D. A. Jiménez, In The 46th International Symposium on Computer Architecture (ISCA’19), June 2019.
-
“vNVML: An Efficient Shared Library for Virtualizing and Sharing Non-volatile Memories,” C. C. Chou, J. Jung, A. L. N. Reddy, P. V. Gratz and D. Voigt, In The 35th International Conference on Massive Storage Systems and Technology (MSST’19), May 2019.
-
“Synchronized Progress in Interconnection Networks (SPIN) : A New Theory for Deadlock Freedom”. A. Ramrakhyani, P. Gratz, T. Krishna. In IEEE Micro Special Issue on Top Picks from the Computer Architecture Conferences, May/June 2019.
- “GenMatcher: A Generic Clustering-based Arbitrary Matching Framework,” P. Wang, L. McHale, P. V. Gratz, A. Sprintson, ACM Transactions on
Architecture and Code Optimization (TACO), vol. 15, no. 4, Article 51, Nov. 2018. (selected for presentation in HiPEAC’19). - “MTB-Fetch: Multithreading Aware Hardware Prefetching for Chip Multiprocessors,” L. M. AlBarakat, P. V. Gratz and D. A. Jiménez, IEEE Computer Architecture Letters, vol. 17, no. 2, pp. 175-178, 1 July-Dec. 2018.
- “Synchronized Progress in Interconnection Networks (SPIN) : A New Theory for Deadlock Freedom,” A. Ramrakhyani, P. Gratz, T. Krishna. In The 45th International Symposium on Computer Architecture (ISCA’18), June 2018 (Selected for IEEE Micro Special Issue on Top Picks from the Computer Architecture Conferences)
- “SDPR: Improving Latency and Bandwidth in On-Chip Interconnect through Simultaneous Dual-Path Routing”, Y. S. Yang, H. Deshpande, G. Choi, P. V. Gratz, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 3, pp. 545-558, March 2018.
- “SPaN: Speculative Paging for future NVM and SSD,” Viacheslav Fedorov, Jinchun Kim, Mian Qin, A. L. Narasimha Reddy and Paul Gratz. In the International Symposium on Memory Systems (MEMSYS’17), Oct. 2017.
- “Minimal exercise vector generation for reliability improvement”, P. M. Reddy, S. Hadjitheophanousi, V. Soteriou, P. V. Gratz and M. K. Michael, 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), Thessaloniki, Greece, July 2017.
- “Kill the program counter: Reconstructing program behavior at the last level cache,”Jinchun Kim, Elvira Teran, Paul V. Gratz, Daniel Jimenez, Seth Pugsley, and Chris Wilkerson. In the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), April 2017.
- “Path Confidence based Lookahead Prefetching”, J. Kim , S. Pugsley, P. V. Gratz, A. Reddy, C. Wilkerson, Z. Chishti, The 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Oct. 2016. (Nominated for Best Paper)
- “Resource Sharing Centric Dynamic Voltage and Frequency Scaling for CMP Cores, Uncore, and Memory”, J-Y Won, P. V. Gratz, S. Shakkottai, and J. Hu. 2016. ACM Transactions on Design Automation of Electronic Systems (TODAES), 21, 4, Article 69 (May 2016).
- “Energy-Efficient Implementations of GF(p)and GF(2^m)Elliptic Curve Cryptography”, Andrew D. Targhetta, Donald E. Owen Jr., Francis L. Israel and Paul V. Gratz, The 33rd IEEE International Conference on Computer Design (ICCD), Oct. 2015. (Nominated for Best Paper)
- “Clotho: Proactive Wearout Deceleration in Chip-Multiprocessor Interconnects”, Arseniy Vitkovskiy, Paul V. Gratz and Vassos Soteriou, The 33rd IEEE International Conference on Computer Design (ICCD), Oct. 2015.
- “Dynamic Memory Pressure Aware Ballooning”, J. Kim, V. Fedorov, P. V. Gratz, A.L.N. Reddy, The International Symposium on Memory Systems (MEMSYS’15), Oct. 2015.
- “Shared Last-Level Caches and The Case for Longer Timeslices”, V. Fedorov, A.L.N. Reddy, P. V. Gratz, The International Symposium on Memory Systems (MEMSYS’15), Oct. 2015.
- “Use It or Lose It: Proactive, Deterministic Longevity in Future Chip Multiprocessors”, Hyungjun Kim, Siva Bhanu Krishna Boga, Arseniy Vitkovskiy, Stavros Hadjitheophanous, Paul V. Gratz, Vassos Soteriou, and Maria K. Michael, ACM Transactions on Design Automation of Electronic Systems (TODAES) 20, 4, Article 65 (Sept. 2015)
- “Having Your Cake and Eating It Too: Energy Savings without Performance Loss Through Resource Sharing Driven Power Management,” J.-Y. Won, P.V. Gratz, S. Shakkottai and J. Hu, ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), July 2015.
- “A Control-Theoretic Approach for Energy Efficient CPU-GPU Subsystem in Mobile Platforms”, D. Kadjo, R. Ayoub, M. Kishinevsky, and P. Gratz. The 52th ACM/EDAC/IEEE The Design Automation Conference (DAC), June 2015. (Nominated for Best Paper)
- “A Bandwidth Efficient On-Chip Interconnects Design for GPGPUs”, H. Jang, J. Kim, P. Gratz, K. Yum, E. Kim. The 52th ACM/EDAC/IEEE The Design Automation Conference (DAC), June 2015.
- “B-Fetch: Branch Prediction Directed Prefetching for Chip-Multiprocessors”, D. Kadjo, J. Kim, P. Sharma, R. Panda, P. V. Gratz, D. A. Jim ́enez, The 47th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec. 2014. (Nominated for Best Paper)
- “Towards Platform Level Power Management in Mobile Systems”, D. Kadjo. Ogras, R. Ayoub, M. Kishinevsky, P. V. Gratz, the 27th IEEE International SOC Conference (SOCC), Sept. 2014.
- “STORM: A Simple Traffic-Optimized Router Microarchitecture for Networks-on-Chip”, S. Rasheed, P. Gratz, S. Shakkottai, J. Hu, The 8th International Symposium on Networks-on-Chip (NOCS), Sept. 2014.
- “WaveSync: Low-Latency Source Synchronous Bypass Network-On-Chip Architecture”, Y. Yang, R. Kumar, G. Choi, P. V. Gratz, ACM Transactions on Design Automation of Electronic Systems, 19, 4, Article 34 (Aug. 2014).
- “ILP and TLP in Shared Memory Applications: A Limit Study”, E. Fatehi, P. V. Gratz, The 23rd International Conference on Parallel Architectures and Compilation Techniques (PACT), Aug. 2014.
- “LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip”, C. Li, M. Browning, P. V. Gratz, S. Palermo, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 33, no. 6, pp.826-838, June 2014.
- “The Design Space of Ultra-low Energy Asymmetric Cryptography”, A. D. Targhetta, D. E. Owen Jr., P. V. Gratz, The 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2014.
- “Up By Their Bootstraps: Online Learning in Artificial Neural Networks for CMP Uncore Power Management”, J. Won, X. Chen, P. V. Gratz, J. Hu, and V. Soteriou, The 20th IEEE International Symposium on High Performance Computer Architecture (HPCA), Feb. 2014.
- “ARI: Adaptive LLC-Memory Traffic Management”, V. Fedorov, S. Qiu, R.A.L.Narasimha, P. V. Gratz, The ACM Transactions on Architecture and Code Optimizations (TACO), vol.10, no.4, Jan. 2014. (also co-published in HiPEAC’14).
- “Use It Or Lose It: Wear-out and Lifetime in Future Chip Multiprocessors”, H. Kim, A. Vitkovskiy, P. Gratz, and V. Soteriou, The 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec. 2013. Selected for “HiPEAC Paper Award” by the HiPEAC Network of Excellence.
- “In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip andLast Level Caches”, X. Chen, Z. Xu, H. Kim, P.V. Gratz and J. Hu, M. Kishinevsky and U. Ogras, ACM Transactions on Design Automation of Electronic Systems (TODAES),vol.18, no.4, art.47, Oct. 2013.
- “Power Gating with Block Migration in Chip-Multiprocessor Last-Level Caches”, D. Kadjo, H. Kim, P. Gratz, J. Hu and R. Ayoub, The 31st IEEE International Conference on Computer Design (ICCD), Oct. 2013.
- “Stochastic Pre-Classification for Software Defined Firewalls”, P. Ghoshal, C. J. Casey, P. V. Gratz, A. Sprintson. The 22nd International Conference on Computer Communications and Networks (ICCCN), July 2013.
- “Dynamic Voltage and Frequency Scaling for Shared Resources in Multicore Processor Designs”, X. Chen, Z. Xu, H. Kim, P. Gratz, J. Hu, M. Kishinevsky, U. Ogras and R. Ayoub. The 50th ACM/EDAC/IEEE The Design Automation Conference (DAC), June 2013.
- Invited Talk: “LumiNOC: A Low-Latency, High Bandwidth per Watt Photonic Network-on-Chip”, C. Li, M. Browning, P. V. Gratz and S. Palermo. The 15th IEEE/ACM System Level Interconnect Prediction Workshop (SLIP), June 2013.
- “Bidirectional Interconnect Design for Low Latency High Bandwidth NoC”, R. Kumar, H. Deshpande, G. Choi, A. Sprintson, P. Gratz , 2013 International Conference on IC Design and Technology, May 2013.
- “GCA:Global Congestion Awareness for Load Balance in Networks-on-Chip”, M. Ramakrishna, P. V. Gratz and A. Sprintson. The Seventh ACM/IEEE International Symposium on Networks-on-Chip (NOCS), April 2013.
- “LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip for Future Parallel Architectures”, C. Li, M. Browning, P. V. Gratz and S. Palermo. The Seventh ACM/IEEE International Symposium on Networks-on-Chip (NOCS), April 2013. (poster and presentation) (Tech Report).
- “NOCS Special Section: Spatial Locality Speculation to Reduce Energy in Chip-Multi-processor Networks-on-Chip”, H. Kim, B. Grot, P. V. Gratz, D. A. Jiménez, IEEE Transactions on Computers, vol. 63, no. 3, pp. 543-556, Mar. 2014.
- “B-Fetch: Branch Prediction Directed Prefetching for In-Order Processors,” Reena Panda , Paul V. Gratz , and Daniel A. Jimenez, IEEE Computer Architecture Letters, vol.11, no.2, pp.41,44, July-Dec. 2012. Selected for “Best Papers from IEEE Computer Architecture Letters”.
- “WaveSync: Low-Latency Source Synchronous Bypass Network-On-Chip Architecture”, Y. Yang, R. Kumar, G. Choi and P. V. Gratz, The 30th IEEE International Conference on Computer Design (ICCD), Oct. 2012.
- “LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip for Future Parallel Architectures”, C. Li, M. Browning, P. V. Gratz and S. Palermo. The 21st International Conference on Parallel Architectures and Compilation Techniques (PACT), Sept. 2012.
- “Energy-efficient Optical Broadcast for Nanophotonic Networks-on-Chip”, C. Li, M. Browning, P. V. Gratz and S. Palermo. The 2012 IEEE Optical Interconnects Conference (OIC), May 2012.
- “In-Network Monitoring and Control Policy for DVFS of CMP Networks-On-Chip and Last Level Caches”, Xi Chen, Zheng Xu, Hyungjun Kim, Paul Gratz, Jiang Hu, Michael Kishinevsky and Umit Ogras. The Sixth ACM/IEEE International Symposium on Networks-on-Chip (NOCS), May 2012. (Nominated for Best Paper)
- “Exploiting Path Diversity for Low-Latency and High-Bandwidth with the Dual-path NoC Router,” Y. S. Yang, H. Deshpande, G. Choi and P. V. Gratz. The 2012 IEEE International Symposium on Circuits and Systems (ISCAS), May 2012.
- “An Energy Efficient Datapath for Asymmetric Cryptography,” Andrew D. Targhetta and Paul V. Gratz, 3rd Workshop on Energy Efficient Design (WEED 2011), June 2011.
- “Asynchronous Bypass Channels for Multi-synchronous NoCs: A Router Microarchitecture, Topology and Routing Algorithm,” Tushar N. K. Jain, Mukund Ramakrishna, Paul V. Gratz, Alex Sprintson and Gwan Choi. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, no.11, pp.1663-1676, Nov. 2011.
- “Reducing Network-on-Chip Energy Consumption Through Spatial Locality Speculation,” Hyungjun Kim, Pritha Ghoshal, Boris Grot, Paul V. Gratz and Daniel A. Jimenez. The Fifth ACM/IEEE International Symposium on Networks-on-Chip (NOCS), May 2011.
- “AcENoCs: A Configurable HW/SW Platform for FPGA Accelerated NoC Emulation,” V. Pai, S. Lotlikar, and P. V. Gratz. The 24th IEEE International Conference on VLSI Design (VLSID), Jan. 2011.
- “Leveraging Unused Cache Block Words to Reduce Power in CMP Interconnect,” Hyungjun Kim, Paul Gratz, IEEE Computer Architecture Letters, 9(1), pp.33-36, Jan. 2010.
- “Asynchronous Bypass Channel Routers: Improving Performance for DVFS and GALS NoCs,” Tushar Jain, Paul Gratz, Alex Sprintson, and Gwan Choi, The 4th ACM/IEEE International Symposium on Networks-on-Chip, May 2010.
- “Ocin_sim – a DVFS aware simulator for NoC based platforms”, Subodh Prabhu, Boris Grot, Paul V. Gratz and Jiang Hu.The 1st Workshop on SoC Archtecture, Accelerators and Workloads (SAW-1), Jan. 2010.
- “Realistic Workload Characterization and Analysis for Networks-on-Chip Design”, Paul V. Gratz and Stephen W. Keckler. The 4th Workshop on Chip Multiprocessor Memory Systems and Interconnects (CMP-MSI), Jan. 2010.
- “Running PARSEC 2.1 on M5”, Mark Gebhart, Joel Hestness, Ehsan Fatehi, Paul Gratz, Stephen W. Keckler; The University of Texas at Austin, Department of Computer Science. Technical Report #TR-09-32. Oct. 27, 2009.
- “Asynchronous Bypass Channel Routers,” Tushar Jain, Paul Gratz, Alex Sprintson, and Gwan Choi, Technical Report:TAMU-ECE-2009-05, Aug. 24, 2009.
- “Evaluation of the TRIPS Computer System,” M. Gebhart, B. A. Maher, K. E. Coons, J. Diamond, P. Gratz, M. Marino, N. Ranganathan, B. Robatmili, A. Smith, J. Burrill, S. W. Keckler, D. Burger, K. S. McKinley. The 14th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2009 (Received best paper award).
- “Regional Congestion Awareness for Load Balance in Networks-on-Chip,” P. Gratz, B. Grot, and S.W. Keckler. The 14th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb. 2008.
- “On-Chip Interconnection Networks of the TRIPS Chip,” P. Gratz, C. Kim, K. Sankaralingam, H. Hanson, P. Shivakumar, S.W. Keckler, and D.C. Burger. IEEE Micro, 27(5), pp. 41-50, Sept./Oct. 2007.
- “TRIPS: A Distributed Explicit Data Graph Execution (EDGE) Microprocessor,” M.S. Govindan, K. Sankaralingam, R. Nagarajan, R. McDonald, R. Desikan, S. Drolia, P. Gratz, D. Gulati, H. Hanson, C.K. Kim, H. Liu, N. Ranganathan, S. Sethumadhavan, S. Sharif, P. Shivakumar, S.W. Keckler, and D. Burger, HotChips 19, Aug. 2007.
- “Implementation and Evaluation of a Dynamically Routed Processor Operand Network,” P. Gratz, K. Sankaralingam, H. Hanson, P. Shivakumar, R. McDonald, S.W. Keckler, and D.C. Burger. The First IEEE International Symposium on Networks-on-Chips (NOCS), pp. 7 – 17, May 2007.
- “Distributed Microarchitectural Protocols in the TRIPS Prototype Processor,” K. Sankaralingam, R. Nagarajan, R. McDonald, R. Desikan, S. Drolia, M.S. Govindan, P. Gratz, D. Gulati, H. Hanson, C. Kim, H. Liu, N. Ranganathan, S. Sethumadhavan, S. Sharif, P.K. Shivakumar, S. W. Keckler, D.C. Burger. The 36th IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 480 – 491, Dec. 2006.
- “Implementation and Evaluation of On-Chip Network Architectures,” P. Gratz, C. Kim, R. McDonald, S.W. Keckler, and D.C. Burger. 2006 IEEE International Conference on Computer Design (ICCD), pp. 477 – 484, Oct. 2006.
- “Scaling to the End of Silicon with EDGE Architectures,” D.C. Burger, S.W. Keckler, K.S. McKinley, et al. IEEE Computer, 37 (7), pp. 44-55, July 2004..